Intel producirá chips para Qualcomm

Es el primer acuerdo con terceros al que llega el gigante del silicio, que de esta forma responde a la dura competencia de TSMC y Samsung

Publicado el 27 Jul 2021

Fábrica de Intel.

Intel ha anunciado esta semana su primer acuerdo de fabricación de chips para terceros. Se trata de Qualcomm. También está previsto aque Amazon Web Services se una a la lista de clientes de Intel, que de esta forma planta cara a los fabricantes asiáticos y hace menos dependiente a Estados Unidos de la industria de los semiconductores de esa zona del mundo, que es, con diferencia, el principal proveedor de esta nanotecnología.

La unidad de Intel que fabricará para otras compañías se llama Intel Foundry Services (IFS), y sigue la línea de lo que TSMC y Samsung hacen para compañías como AMD y Nvidia, que ya no fabrican sus micros, sino que sólo los diseñan. Los gigantes asiáticos de los microprocesadores también producen chips ARM para smartphones y tablets.

Pat Gelsinger, el CEO de Intel, quiere recuperar el protagonismo en esta industria en 2025, después de años de problemas de suministro y retrasos en los lanzamientos, como los de procesadores de siete nanómetros, que, como pronto, estarán en el mercado en 2022 o 2023.

Para despejar dudas, Intel ha revelado una de las hojas de ruta de tecnología de procesos y empaquetado más detalladas que la compañía haya proporcionado nunca, en donde ha mostrado una serie de innovaciones fundamentales que impulsarán sus productos desde el 2025 en adelante. Además de anunciar RibbonFET, su primera nueva arquitectura de transistores en más de una década, y PowerVia, un nuevo método, pionero en la industria, de suministro de energía en la parte trasera; la empresa destacó que tiene previsto adoptar con rapidez la litografía ultravioleta extrema (EUV, por sus siglas en inglés) para su próxima generación de fabricación, denominada EUV de Alta Apertura Numérica (High NA), así como importantes innovaciones para empaquetado de procesadores. Intel está preparada para recibir la primera herramienta de producción de EUV de Alta Apertura Numérica del sector.

“Basándonos en el indiscutible liderazgo de Intel en términos de empaquetado avanzado, estamos acelerando nuestra hoja de ruta de innovación para asegurar que estamos correctamente encaminados hacia el liderazgo en el rendimiento de procesos de cara a 2025”, señaló el CEO de Intel, Pat Gelsinger, durante la conferencia global “Intel Accelerated“. “Estamos aprovechando nuestra inigualable línea de innovación para ofrecer avances tecnológicos, desde el transistor, hasta el nivel del sistema. Hasta que se agote la tabla periódica, seremos implacables en nuestra búsqueda para cumplir con la Ley de Moore y en nuestro camino para innovar con la magia del silicio”.

La industria reconoce, desde hace tiempo, que la nomenclatura tradicional de los nodos de proceso basados en nanómetros dejó de coincidir con la métrica de la longitud real de 1997. Hoy, Intel ha introducido una nueva estructura de nomenclatura para sus nodos de proceso, creando un marco claro y coherente para ofrecer a los clientes una visión más precisa en toda la industria. Esta claridad se vuelve más importante que nunca gracias al lanzamiento de Intel Foundry Services (IFS). “Las innovaciones presentadas hoy no sólo habilitarán la hoja de ruta de productos de Intel, sino que también serán fundamentales para nuestros clientes de fundición”, explicó Gelsinger. “El interés por Foundry Services ha sido muy positivo y estoy encantado de que hoy hayamos anunciado nuestros dos primeros clientes importantes. ¡IFS está listo para comenzar con fuerza!”.

La hoja de ruta de Intel y las nuevas nomenclaturas

Intel 7 ofrece un aumento del rendimiento por vatio de entre el 10% y el 15%, aproximadamente, en comparación con Intel 10nm SuperFin, basado en las optimizaciones de los transistores FinFET. Intel 7 se podrá encontrar en productos como Alder Lake para clientes en 2021 y Sapphire Rapids para el centro de datos, que se espera que esté en producción en el primer trimestre de 2022.

Intel 4 adopta por completo la litografía EUV para reproducir características increíblemente pequeñas con luz de longitud de onda ultracorta. Con un aumento de aproximadamente un 20% en el rendimiento por vatio, así como a mejoras de área, Intel 4 estará listo para su producción en la segunda mitad de 2022 en productos que se comercialicen en 2023, incluyendo Meteor Lake para clientes y Granite Rapids para el centro de datos.

Intel 3 aprovecha aún más las nuevas optimizaciones de FinFET y un mayor EUV para ofrecer un aumento del rendimiento por vatio de aproximadamente un 18% con respecto a Intel 4, junto con mejoras de área adicionales. Intel 3 estará listo para empezar a fabricarse en productos en la segunda mitad de 2023.

Intel 20A marca el comienzo de la era angstrom con dos tecnologías revolucionarias: RibbonFET y PowerVia. RibbonFET, la implementación de Intel de un transistor gate-all-around (GAA, por sus siglas en inglés), será la primera nueva arquitectura de transistores de la empresa desde que fue pionera con los FinFET en 2011. Esta tecnología ofrece velocidades de conmutación de transistores más rápidas y consigue la misma corriente de impulso que múltiples aletas en un espacio más reducido. PowerVia es la primera implementación de Intel en el sector de suministro de energía en la parte trasera, que optimiza la señal de transmisión eliminando la necesidad de enrutar la energía en la parte frontal de la oblea. Se espera que Intel 20A entre en funcionamiento en 2024. La compañía también está entusiasmada con la oportunidad de asociarse con Qualcomm a través de la utilización de la tecnología de proceso Intel 20A.

Del 2025 en adelante: Más allá de Intel 20A, Intel 18A ya está en desarrollo y se prevé que esté lista para principios de 2025 con mejoras en RibbonFET que ofrecerán otro gran salto en el rendimiento de los transistores. Intel también está trabajando para definir, construir e implementar EUV de Alta NA de próxima generación, y espera así lograr la primera herramienta de producción del sector. Intel está colaborando estrechamente con ASML para garantizar el éxito de esta innovación en la industria, más allá de la generación actual de EUV.

¿Qué te ha parecido este artículo?

Tu opinión es importante para nosotros.

C
Redacción Channel Partner

Artículos relacionados

Artículo 1 de 2